您的位置:首页 > 其它

02-ZYNQ学习(逻辑篇)之FPGA LED控制实验

2017-02-08 10:10 363 查看
参考(ALINX黑金Zynq7000开发平台配套教程V1.02.pdf)第 7 章 FPGA LED
控制实验


使用Vivado,进行逻辑部分实验开发。实现流水灯功能。

主要包括以下几个步骤:

(1)安装VIVADO 软件

(2)查看开发板原理图

(3)创建工程

(4)编写流水灯的 verilog 代码 led.v

(5)添加 XDC 管脚约束文件 led.xdc

(6)编译与纠错,生成bit文件

(7)下载和调试
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: