您的位置:首页 > 其它

【奔跑的FPGA】part two ip核仿真遇到的问题以及解决办法

2016-07-18 22:20 1016 查看
一般来说,用modelsim进行仿真分为两种。
第一种直接用modelsim建立工程,加入.v文件,编写测试文件.vt,手动添加需要的库文件,比较麻烦;
第二种借助第三方软件调用modelsim进行仿真,如用quartus ii调用。这种方法会导致在仿真的时候出现很多奇葩的问题,主要就是缺少库文件造成的。
例如:
# ** Error: (vsim-3033) C:/altera/tests/wan0718/nco.vo(869): Instantiation of 'altsyncram' failed. The design unit was not found.

#         Region: /abs/ui
#         Searched libraries:
#             C:/altera/tests/wan0718/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) C:/altera/tests/wan0718/nco.vo(2001): Instantiation of 'lpm_add_sub' failed. The design unit was not found.

#         Region: /abs/ui
#         Searched libraries:
#             C:/altera/tests/wan0718/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) C:/altera/tests/wan0718/nco.vo(2041): Instantiation of 'lpm_counter' failed. The design unit was not found.

#         Region: /abs/ui
#         Searched libraries:
#             C:/altera/tests/wan0718/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) C:/altera/tests/wan0718/nco.vo(2554): Instantiation of 'oper_add' failed. The design unit was not found.

#         Region: /abs/ui
#         Searched libraries:
#             C:/altera/tests/wan0718/simulation/modelsim/rtl_work
# ** Error: (vsim-3033) C:/altera/tests/wan0718/nco.vo(2576): Instantiation of 'oper_mux' failed. The design unit was not found.

#         Region: /abs/ui
#         Searched libraries:
#             C:/altera/tests/wan0718/simulation/modelsim/rtl_work
出现这类错误就是因为在工程文件夹/simulation/modelsim文件夹下面缺少了必要的仿真文件.v(Verilog HDL)。
Verilog HDL语言的仿真库文件为220model.v,sgate.v和altera_mf.v;
VHDL语言的仿真库文件为220pack.vhd、220model.vhd、altera_mf.vhd和altera_mf_components.vhd。
路径为C:\altera\13.0sp1\quartus\eda\sim_lib
把需要的仿真文件复制到当前工程/simulation/modelsim文件夹下面,在modelsim界面点击compile,将生成的.vt文件和加入的.v文件一起编译就可以了,然后点击仿真就不会报错了。



【59下山】
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息