您的位置:首页 > 其它

verilog基础知识--同步、异步

2015-12-14 10:36 176 查看
在数字电路中经常有同步、异步的概念。异步指输入信号和时钟无关;同步指输入信号和时钟信号有关,实际上就是输入信号和时钟信号进行了与运算或者与非运算。实际开发中,经常有同步清零、异步清零、同步复位、异步复位等概念,下面就给与相关代码演示。
同步清零:
module dff(d,clk,clr,q);
input d,clk,clr;
output q;
reg q;
always@(posedge clk)
if(!clr) q<=0;
else q<=d;
endmodule
异步清零:
module dff(d,clk,clr,q);
input d,clk,clr;
output q;
reg q;
always@(posedge clk or negedge clr)
if(!clr) q<=0;
else q<=d;
endmodule


事实上,清零(复位)、置数、使能三个信号可以任意选取同步、异步两种方式之一,所以这三个信号可以任意组成八种不同功能的电路,像异步复位、同步置数、异步使能等
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: