您的位置:首页 > 其它

[置顶] 利用辗转相除求两个数的最大公约数

2016-10-25 19:48 218 查看


#define _CRT_SECURE_NO_WARNINGS

#include< stdio.h>
#include< stdlib.h>
int main()
{
       int a=9;
    int b=45;
       int tmp=0;
       while(tmp=a%b)
       {
              a=b;
              b=tmp;
       }
       printf("最大公约数是: %d",b);
       system("pause");
       return 0;
}
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: