您的位置:首页 > 其它

VCS仿真生成fsdb文件(Verilog)

2016-10-22 19:58 204 查看

VCS仿真生成fsdb文件(Verilog)

一、环境

  • Linux 平台 csh环境
  • VCS 64bit
  • Verdi3

二、开始仿真

1、 联合仿真环境配置

a.在testbench中加入如下语句:

initial begin
$fsdbDumpfile("tb.fsdb"); $fsdbDumpvars;
end

b.注意verdi接口库的路径(脚本中体现)

2、仿真脚本

1 #!/bin/csh -f
2
3 setenv NOVAS_HOME  /user/EDA_Tools/Synopsys/verdi3-I-201403-SP1
4 setenv NOVAS_PLI ${NOVAS_HOME}/share/PLI/VCS/LINUX64
5 setenv LD_LIBRARY_PATH $NOVAS_PLI
6
7 setenv NOVAS  "${NOVAS_HOME}/share/PLI/VCS/LINUX64"
8
9 setenv novas_args  "-P $NOVAS/novas.tab   $NOVAS/pli.a "
10
11 vcs +v2k -sverilog +vcs+lic+wait -full64 -debug_pp \
12        +warn=noCDNYI,noIPDW,noILLGO,noTMR,noPHNE,noIRIID-W \
13        -Mupdate +notimingcheck +nospecify \
14        ${novas_args}\
15        -f file.f \
16
17 ./simv

当前目录下生成tb.fsdb文件

3、使用verdi查看波形

verdi -f file.f -ssf tb.fsdb &

 

内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: