VSIM生成fsdb波形文件(VERILOG)
2016-10-12 08:43
183 查看
VSIM生成fsdb波形文件(verilog)
两步主要的设置
testbench加入函数
运行库调用
1、testbench加入函数
1 initial 2 begin 3 $fsdbDumpfile("test.fsdb"); 4 $fsdbDumpvars; 5 end
2、运行库调用
运行环境设置.bashrc
设置
LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:${NOVAS_INST_DIR}/share/PLI/lib/${PLATFORM}
如我的设置为
LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:/EDA/Synopsys/verdi/vJ-2014.12-SP2/share/PLI/lib/LINUX64/
代码实例[sim.do]
1 #create work library 2 vlib work 3 vmap work work 4 5 #compile 6 vlog design.v 7 vlog tb.v 8 9 #simulate 10 vsim -voptargs="+acc" +notimingchecks -t 1ps -pli /EDA/Synopsys/verdi/vJ-2014.12-SP2/share/PLI/MODELSIM/LINUX64/novas_fli.so tb 11 12 run 100us 13 14 q
运行产生fsdb
vsim -c -do sim.do
参考文献
相关文章推荐
- vsim生成VCD波形文件(verilog)
- 波形文件(wlf/vcd/fsdb/shm/vpd)的区别,fsdb生成方法
- 波形文件(wlf/vcd/fsdb/shm/vpd)的区别,fsdb生成方法
- 各种波形文件 fsdb生成方法
- 各种波形文件vcd,vpd,shm,fsdb生成的方法(zz)
- 各种波形文件vcd,vpd,shm,fsdb生成的方法(zz)
- 各种波形文件(wlf/vcd/fsdb/shm/vpd)的区别及生成方法(转)
- VCS仿真生成fsdb文件(Verilog)
- 关于modelsim的波形文件(vsim.wlf)(转自http://www.eefocus.com/ican/blog/10-10/196941_ebbde.html)
- linux环境下,使用IUS9.2(也就是ncsimulator)产生fsdb波形文件的完整方法(原创)
- 产生FSDB波形文件的若干技巧
- vsim仿真VHDL输出fsdb格式文件
- VCS仿真生成vpd文件(verilog)
- 【转】ModelSim生成fsdb文件
- 从 Java 应用程序动态生成 PDF 文件
- android R 文件生成不了
- ProtoBuffer由.proto文件生成.cc/.h
- eclipse android 不会自动生成R.java文件和包的解决办法
- ArcGis中arcgis server连接文件生成
- 例解 autoconf 和 automake 生成 Makefile 文件