您的位置:首页 > 运维架构 > Linux

Linux-2.6.32.2内核在mini2440上的移植(十七)---移植PWM控制蜂鸣器驱动

2012-02-08 23:55 567 查看

Linux-2.6.32.2内核在mini2440上的移植(十七)---移植PWM控制蜂鸣器驱动

移植环境(红色粗字体字为修改后内容,蓝色粗体字为特别注意内容)

1,主机环境:VMare下CentOS 5.5 ,1G内存。

2,集成开发环境:Elipse IDE

3,编译编译环境:arm-linux-gcc v4.4.3,arm-none-linux-gnueabi-gcc v4.5.1。

4,开发板:mini2440,2M nor flash,128M nand flash。

5,u-boot版本:u-boot-2009.08

6,linux 版本:linux-2.6.32.2

7,参考文章:

嵌入式linux应用开发完全手册,韦东山,编著。
Mini2440 之Linux 移植开发实战指南
【1】硬件原理

Mini2440 板带有一个蜂鸣器,它是由PWM 控制的,下面是它的连接原理图:



可以看出,蜂鸣器所用的GPB0 端口复用的功能为TOUT0,它其实也就是PWM 输出。这在S3C2440 手册中可以看到:



因此,我们需要在驱动程序中,首先把 GPB0 端口设置为PWM 功能输出,再设定相应的Timer 就可以控制PWM 的输出频率了。

【2】驱动程序编写

在 linux-2.6.32.2/drivers/misc目录下,增加一个驱动程序文件mini2440_pwm.c,内容如下:

#include <linux/module.h>

#include <linux/kernel.h>

#include <linux/fs.h>

#include <linux/init.h>

#include <linux/delay.h>

#include <linux/poll.h>

#include <linux/interrupt.h>

#include <linux/gpio.h>

#include <asm/irq.h>

#include <asm/io.h>

#include <asm/uaccess.h>

#include <mach/regs-gpio.h>

#include <mach/hardware.h>

#include <plat/regs-timer.h>

#include <mach/regs-irq.h>

#include <asm/mach/time.h>

#include <linux/clk.h>

#include <linux/cdev.h>

#include <linux/device.h>

#include <linux/miscdevice.h>


#define DEVICE_NAME "pwm" //设备名

#define PWM_IOCTL_SET_FREQ 1 //定义宏变量,用于后面的ioctl 中的switch case

#define PWM_IOCTL_STOP 0 //定义信号量 lock

static struct semaphore lock;

/* freq: pclk/50/16/65536 ~ pclk/50/16

* if pclk = 50MHz, freq is 1Hz to 62500Hz

* human ear : 20Hz~ 20000Hz

*/


static void PWM_Set_Freq( unsigned long freq )
//设置pwm 的频率,配置各个寄存器

{

unsigned long tcon;

unsigned long tcnt;

unsigned long tcfg1;

unsigned long tcfg0;

struct clk *clk_p;

unsigned long pclk;

//set GPB0 as tout0, pwm output 设置GPB0 为tout0,pwm 输出

s3c2410_gpio_cfgpin(S3C2410_GPB(0), S3C2410_GPB0_TOUT0);

tcon = __raw_readl(S3C2410_TCON);
//读取寄存器TCON 到tcon

tcfg1 = __raw_readl(S3C2410_TCFG1); //读取寄存器TCFG1 到tcfg1

tcfg0 = __raw_readl(S3C2410_TCFG0); //读取寄存器TCFG0 到tcfg0

//prescaler = 50

// S3C2410_TCFG_PRESCALER0_MASK定时器0 和1 的预分频值的掩码,TCFG[0~8]

tcfg0 &= ~S3C2410_TCFG_PRESCALER0_MASK;

tcfg0 |= (50 - 1);
// 预分频为50

//mux = 1/16

tcfg1 &= ~S3C2410_TCFG1_MUX0_MASK;
//S3C2410_TCFG1_MUX0_MASK 定时器0 分割值的掩码TCFG1[0~3]

tcfg1 |= S3C2410_TCFG1_MUX0_DIV16; //定时器0 进行16 分割

__raw_writel(tcfg1, S3C2410_TCFG1); //把tcfg1 的值写到分割寄存器S3C2410_TCFG1 中

__raw_writel(tcfg0, S3C2410_TCFG0); //把tcfg0 的值写到预分频寄存器S3C2410_TCFG0 中

clk_p = clk_get(NULL, "pclk"); //得到pclk

pclk = clk_get_rate(clk_p);

tcnt = (pclk/50/16)/freq;
//得到定时器的输入时钟,进而设置PWM 的调制频率

__raw_writel(tcnt, S3C2410_TCNTB(0)); //PWM 脉宽调制的频率等于定时器的输入时钟

__raw_writel(tcnt/2, S3C2410_TCMPB(0)); //占空比是50%

tcon &= ~0x1f;

tcon |= 0xb; //disable deadzone, auto-reload, inv-off, update TCNTB0&TCMPB0, start timer 0

__raw_writel(tcon, S3C2410_TCON);
//把tcon 写到计数器控制寄存器S3C2410_TCON 中

tcon &= ~2; //clear manual update bit

__raw_writel(tcon, S3C2410_TCON);

}

static void PWM_Stop(void)

{

s3c2410_gpio_cfgpin(S3C2410_GPB(0), S3C2410_GPIO_OUTPUT);
//设置GPB0 为输出

s3c2410_gpio_setpin(S3C2410_GPB(0), 0); //设置GPB0 为低电平,使蜂鸣器停止

}

static int s3c24xx_pwm_open(struct inode *inode, struct file *file)

{

if (!down_trylock(&lock))
//是否获得信号量,是down_trylock(&lock)=0,否则非0

return 0;

else

return -EBUSY;
//返回错误信息:请求的资源不可用

}

static int s3c24xx_pwm_close(struct inode *inode, struct file *file)

{

PWM_Stop();

up(&lock);
//释放信号量lock

return 0;

}

/*cmd 是1,表示设置频率;cmd 是2 ,表示停止pwm*/

static int s3c24xx_pwm_ioctl(struct inode *inode, struct file *file, unsigned int cmd, unsigned long arg)

{

switch (cmd)

{

case PWM_IOCTL_SET_FREQ:
//if cmd=1 即进入case PWM_IOCTL_SET_FREQ

if (arg == 0) //如果设置的频率参数是0

return -EINVAL; //返回错误信息,表示向参数传递了无效的参数

PWM_Set_Freq(arg); //否则设置频率

break;

case PWM_IOCTL_STOP:
// if cmd=2 即进入case PWM_IOCTL_STOP

PWM_Stop(); //停止蜂鸣器

break;

}

return 0;
//成功返回

}

/*初始化设备的文件操作的结构体*/

static struct file_operations dev_fops = {

.owner = THIS_MODULE,

.open = s3c24xx_pwm_open,

.release = s3c24xx_pwm_close,

.ioctl = s3c24xx_pwm_ioctl,

};

static struct miscdevice misc = {

.minor = MISC_DYNAMIC_MINOR,

.name = DEVICE_NAME,

.fops = &dev_fops,

};

static int __init dev_init(void)

{

int ret;

init_MUTEX(&lock);
//初始化一个互斥锁

ret = misc_register(&misc); //注册一个misc 设备

if(ret < 0)

{

printk(DEVICE_NAME "register falid!\n");

return ret;

}

printk (DEVICE_NAME "\tinitialized!\n");

return 0;

}

static void __exit dev_exit(void)

{

misc_deregister(&misc);
//注销设备

}

module_init(dev_init);

module_exit(dev_exit);

MODULE_LICENSE("GPL");

MODULE_AUTHOR("FriendlyARM Inc.");

MODULE_DESCRIPTION("S3C2410/S3C2440 PWM Driver");


以上驱动程序中,一些关键词的解释和说明如下:

(1) CPU 计数器控制寄存器

1>配置定时器输入时钟

TCFG0-时钟配置寄存器0,用于获得预分频值(1~255)

TCFG1-时钟配置寄存器1,用于获得分割值(2,4,8,16,32)

定时器输入时钟频率=PLCK/{预分频+1}/{分割值}

2>配置PWM 的占空比

TCNTB0-定时器0 计数缓存寄存器,是由定时器的输入时钟分频得到,是脉宽调制的频率。

TCMTB0-定时器0 比较缓存寄存器,用于设定PWM 的占空比,寄存器值为高定平的

假设TCNTB0 的频率是160,如果TCMTB0 是110,则PWM 在110 个周期是高定平,50 周期是低电平,从而占空比为11:5。

3>定时器控制寄存器TCON

TCON[0~4]用于控制定时器0

(2) 读写寄存器的函数: __raw_readl __raw_writel

读端口寄存器用__raw_readl(a ),该函数从端口a 返回一个32 位的值。相关的定义在include/asm-arm/io.h 中。
#define __raw_readl(a) (*(volatile unsigned int*)(a)),写端口寄存器用__raw_writel(v,a)
,该函数将一个32 位的值写入端口a 中。相关的定义在include/asm-arm/io.h中。 #define __raw_writel(v,a) (*(volatile unsigned int*)(a) = (v)) 。此处设置功能控制寄存器,将相应的引脚设为输出状态。

(3 )内核中操作gpio

gpio_cfgpin 配置相应GPIO 口的功能

gpio_setpin IO 口为输出功能时,写引脚

(4) 内核中基于信号量的Llinux 的并发控制

在驱动程序中,当多个线程同时访问相同的资源时,可能会引发“竞态”,因此必须对共享资源进行并发控制。信号量(绝大多数作为互斥锁使用)是一种进行并发控制的手段(还有自旋锁,它适合于保持时间非常短的时间)。信号量只能在进程的上下文中使用。

void init_MUTEX(&lock) 初始化一个互斥锁,即他把信号量lock 设置为1。

void up (&lock) 释放信号量,唤醒等待者。

int down_trylock(&lock) 尝试获得信号量lock ,如果能够立刻获得,就获得信号量,并返回为0.否则返回非0.并且它不会导致休眠,可以在中断上下文中使用。在PWM 中,当计数值溢出时,就会引发计数中断。所以在这里用这个函数来获得信号。

【3】为内核添加按键设备的内核配置选项

打开 linux-2.6.32.2/drivers/misc/Kconfig 文件,定位到39行附近,加入如下红色部分内容:

config MINI2440_BUZZER

tristate "Buzzer driver for FriendlyARM Mini2440 development boards"

depends on MACH_MINI2440

default y if MACH_MINI2440

help

this is buzzer driver for FriendlyARM Mini2440 development boards


config ATMEL_PWM

tristate "Atmel AT32/AT91 PWM support"

depends on AVR32 || ARCH_AT91SAM9263 || ARCH_AT91SAM9RL || ARCH_AT91CAP9

help

This option enables device driver support for the PWM channels

on certain Atmel processors. Pulse Width Modulation is used for

purposes including software controlled power-efficient backlights

on LCD displays, motor control, and waveform generation.

【4】把对应的驱动目标文件加入内核

打开linux-2.6.32.2/drivers/misc/Makefile,定位到27行附近,把该驱动程序的目标文件根据配置定义加入,如下红色部分:

obj-$(CONFIG_C2PORT) += c2port/

obj-$(CONFIG_MINI2440_BUTTONS) += mini2440_buttons.o

obj-$(CONFIG_LEDS_MINI2440) += mini2440_leds.o

obj-$(CONFIG_MINI2440_ADC) += mini2440_adc.o

obj-$(CONFIG_MINI2440_BUZZER) += mini2440_pwm.o

obj-y += eeprom/

obj-y += cb710/

这样,我们就在内核中加入了PWM 控制蜂鸣器的驱动程序。

【5】确认内核配置

接上面的步骤,在内核源代码目录下执行:make menuconfig 重新配置内核,依次选择进入如下子菜单项:

Device Drivers --->

[*] Misc devices --->

<*> Buzzer driver for FriendlyARM Mini2440 development boards
//选项默认是选中的,若没有选中,则按空格键选中它。

退出并保存内核配置。

然后退出保存所选配置, 在命令行执行: make uImage , 将会生成arch/arm/boot/uImage,然后将其复制到/nfsboot目录下后启动开发板。可以在看到串口终端中启动信息:

... ...

brd: module loaded

buttons initialized!

leds initialized!

adc initialized!

pwm initialized!

S3C24XX NAND Driver, (c) 2004 Simtec Electronics

... ...

说明leds设备加载成功。

【6】测试PWM 控制蜂鸣器

为了测试该驱动程序,我们还需要编写一个简单的测试程序,在友善官方提供的光盘中已经提供了该测试程序的源代码,它位于\linux 示例代码\examples\pwm目录中,文件名为:pwm_test.c。将其复制到主机/root/linux-test/codetest目录下,下面是其中的代码:

#include <stdio.h>

#include <termios.h> //POSIX 终端控制定义

#include <unistd.h> //Unix 标准函数定义

#include <stdlib.h>

#define PWM_IOCTL_SET_FREQ 1

#define PWM_IOCTL_STOP 0

#define ESC_KEY 0x1b //定义ESC_KEY 为ESC 按键的键值

static int getch(void) //定义函数在终端上获得输入,并把输入的量(int)返回

{

struct termios oldt,newt; //终端结构体struct termios

int ch;

if (!isatty(STDIN_FILENO)) { //判断串口是否与标准输入相连

fprintf(stderr, "this problem should be run at a terminal\n");

exit(1);

}

// save terminal setting

if(tcgetattr(STDIN_FILENO, &oldt) < 0) { //获取终端的设置参数

perror("save the terminal setting");

exit(1);

}

// set terminal as need

newt = oldt;

newt.c_lflag &= ~( ICANON | ECHO ); //控制终端编辑功能参数ICANON 表示使用标准输入模式;参数ECH0 表示显示输入字符

if(tcsetattr(STDIN_FILENO,TCSANOW, &newt) < 0) {
//保存新的终端参数

perror("set terminal");

exit(1);

}

ch = getchar();

// restore termial setting

if(tcsetattr(STDIN_FILENO,TCSANOW,&oldt) < 0) { //恢复保存旧的终端参数

perror("restore the termial setting");

exit(1);

}

return ch;

}

static int fd = -1;

static void close_buzzer(void);

static void open_buzzer(void) //打开蜂鸣器

{

fd = open("/dev/pwm", 0); //打开pwm 设备驱动文件

if (fd < 0) {

perror("open pwm_buzzer device"); //打开错误,则终止进程。退出参数为1

exit(1);

}

// any function exit call will stop the buzzer

atexit(close_buzzer); //退出回调close_buzzer

}

static void close_buzzer(void) //关闭蜂鸣器

{

if (fd >= 0) {

ioctl(fd, PWM_IOCTL_STOP); //停止蜂鸣器

close(fd); //关闭设备驱动文件

fd = -1;

}

}

static void set_buzzer_freq(int freq)

{

// this IOCTL command is the key to set frequency

int ret = ioctl(fd, PWM_IOCTL_SET_FREQ, freq); //设置频率

if(ret < 0) { //如果输入的频率错误

perror("set the frequency of the buzzer");

exit(1); //退出,返回1

}

}

static void stop_buzzer(void) //关闭蜂鸣器

{

int ret = ioctl(fd, PWM_IOCTL_STOP);

if(ret < 0) {

perror("stop the buzzer");

exit(1);

}

}

int main(int argc, char **argv)

{

int freq = 1000 ;

open_buzzer(); //打开蜂鸣器

printf( "\nBUZZER TEST ( PWM Control )\n" );

printf( "Press +/- to increase/reduce the frequency of the BUZZER\n" ) ;

printf( "Press 'ESC' key to Exit this program\n\n" );

while( 1 )

{

int key;

set_buzzer_freq(freq); //设置蜂鸣器频率

printf( "\tFreq = %d\n", freq );

key = getch();

switch(key) {

case '+':

if( freq < 20000 )

freq += 10;

break;

case '-':

if( freq > 11 )

freq -= 10 ;

break;

case ESC_KEY:

case EOF:

stop_buzzer();

exit(0);

default:

break;

}

}

}

在终端中进入到codetest目录,然后执行:

[root@localhost codetest]# ls

adc_test backlight_test buttons_test.c led.c tstest.c

adc_test.c backlight_test.c i2c pwm_test.c

adc_test.c~ buttons_test led tstest

[root@localhost codetest]# arm-linux-gcc -o pwm_test pwm_test.c

[root@localhost codetest]# cp pwm_test /nfsboot/nfs

[root@localhost codetest]#

将生成的可执行目标文件pwm_test复制到与开发板共享的nfsboot/nfs中,在开发板的命令行终端执行:

[root@mini2440 nfs]#cd /

[root@mini2440 /]#ls -l /dev/pwm

crw-rw---- 1 root root 10, 60 Jan 1 00:00 /dev/pwm

[root@mini2440 /]#

[root@mini2440 /]#cd /mnt/nfs

[root@mini2440 nfs]#ls

adc_test buttons_test pwm_test yesterday.mp3

backlight_test i2c test1.wav

bigworld.wav led

[root@mini2440 /]#cd /mnt/nfs

[root@mini2440 nfs]#./pwm_test

BUZZER TEST ( PWM Control )

Press +/- to increase/reduce the frequency of the BUZZER

Press 'ESC' key to Exit this program

Freq = 1000

Freq = 1010

Freq = 1020

Freq = 1030

Freq = 1040

Freq = 1050

Freq = 1060

Freq = 1050

Freq = 1040

Freq = 1030

Freq = 1020

Freq = 1010

Freq = 1000

[@mini2440 nfs]#

当按动“+”/“-”键时,蜂鸣器的声音会随之改变。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: 
相关文章推荐