广工EDA电平转脉冲实验代码(verilog HDL设计代码)
2019-05-11 22:31
267 查看
模块代码
// change.v module change(clk,rst,ina,dataout); input clk,rst,ina; output dataout; reg dataout; parameter s0=2'b00,s1=2'b01,s2=2'b11; reg[1:0] current_state,next_state; always@(current_state or ina) begin case(current_state) s0:begin if(ina==1) next_state<=s1; else next_state<=s0; end s1:begin if(ina==1) next_state<=s2; else next_state<=s0; end s2:begin if(ina==1) next_state<=s2; else next_state<=s0; end default:next_state<=s0; endcase end always@(posedge clk or negedge rst) if(!rst) current_state<=s0; else current_state<=next_state; always@(current_state) dataout=(current_state==s1); endmodule
测试代码
// test.v `timescale 1ns/1ns module testbench; reg clk,rst; reg ina; wire dataout; change test(clk,rst,ina,dataout); always#(5) clk=~clk; initial begin clk=0; rst=0; #5 rst=1; end initial begin ina=0; #5; ina=1; #50 ina=1; #50 ina=0; #50 ina=0; #50 ina=1; #50 ina=0; #50 ina=1; #50 ina=1; #50 ina=1; #50 ina=0; #50 ina=1; #50 ina=1; end initial #1000 $finish; endmodule
相关文章推荐
- 广工EDA自动售货机实验代码(verilog HDL设计代码)
- 广工EDA可逆计数器实验代码(verilog HDL设计代码)
- Verilog HDL && Quartus 设计规范、代码风格
- 异步FIFO的设计思路及verilog代码
- 利用Matlab2017b Simulink基于模型设计的自动代码生成对STM32F4进行开发 (5)----(编码器模式实验)
- Verilog HDL 有限状态机的设计
- 基于模型设计的FPGA开发与实现:滤波器设计与实现(四)Matlab中滤波器HDL代码生成优化
- 实验3.3 设计算法并写出代码,实现一个十将二进制转换成2进制数。
- 【原创】DE2实验解答—lab09 (Quartus II)(Verilog HDL)(Digital Logic)
- Verilog 编程实验(5)-3-8线译码器的设计与实现
- verilog脉冲产生高电平
- verilog HDL 的阻塞和非阻塞语句在FPGA各种设计中的分析
- Verilog 编程实验(4)-7位译码器的设计与实现
- (笔记)Verilog HDL设计时关于阻塞赋值和非阻塞赋值的8条指导原则以及原因。
- 基于模型设计的FPGA开发与实现:滤波器设计与实现(三)Matlab中滤波器的HDL代码生成
- Verilog 扫描数码管 5461BS 实验代码
- Verilog HDL计数器设计(作业1)
- 模N计数器的Verilog HDL代码
- verilogHDL设计中的同步时序逻辑
- 浅谈Verilog HDL代码编写风格