利用硬件描述语言实现交通灯控制模型5,分频
2018-03-09 21:29
309 查看
分频
模块说明:通过对分频系统的循环,达到将50mhz的时钟分开成1hz时钟和100hz时钟的目的
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk:in std_logic; -----输入时钟
clk_out1:out std_logic; -----输出1hzʱ
clk_out2:out std_logic); ----输出100hzclk
end entity fenpin;
architecture behav of fenpin is
signal count1:integer range 0 to 24999999; -----分频系数
signal count2:integer range 0 to 249999; ---------分频系数
signal clk_data1:std_logic; ----clk_data1信号
signal clk_data2:std_logic; -----clk_data2信号
begin
shuchushizhong:process(clk)
begin
if clk'event and clk='1' then
if count1=24999999 then ----count1循环
count1<=0; -----
clk_data1<=not clk_data1; ---取反
else count1<=count1+1; -----count1循环
end if;
end if;
end process shuchushizhong;
clk_out1<=clk_data1; -----局部变量代入全局变量
dongtaisaomiaoshizhong:process(clk)
begin
if clk'event and clk='1' then ----时钟上升沿
if count2=249999 then
count2<=0;
clk_data2<=not clk_data2;
else count2<=count2+1;
end if;
end if;
end process dongtaisaomiaoshizhong;
模块说明:通过对分频系统的循环,达到将50mhz的时钟分开成1hz时钟和100hz时钟的目的
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk:in std_logic; -----输入时钟
clk_out1:out std_logic; -----输出1hzʱ
clk_out2:out std_logic); ----输出100hzclk
end entity fenpin;
architecture behav of fenpin is
signal count1:integer range 0 to 24999999; -----分频系数
signal count2:integer range 0 to 249999; ---------分频系数
signal clk_data1:std_logic; ----clk_data1信号
signal clk_data2:std_logic; -----clk_data2信号
begin
shuchushizhong:process(clk)
begin
if clk'event and clk='1' then
if count1=24999999 then ----count1循环
count1<=0; -----
clk_data1<=not clk_data1; ---取反
else count1<=count1+1; -----count1循环
end if;
end if;
end process shuchushizhong;
clk_out1<=clk_data1; -----局部变量代入全局变量
dongtaisaomiaoshizhong:process(clk)
begin
if clk'event and clk='1' then ----时钟上升沿
if count2=249999 then
count2<=0;
clk_data2<=not clk_data2;
else count2<=count2+1;
end if;
end if;
end process dongtaisaomiaoshizhong;
相关文章推荐
- 利用硬件描述语言实现交通灯控制模型8,键盘和控制
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型{zhuang}
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型
- 利用硬件描述语言实现交通灯控制模型1,顶层连接
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型
- 利用硬件描述语言实现交通灯控制模型2,白天模式
- 利用硬件描述语言实现交通灯控制模型3,白天计数
- 利用硬件描述语言实现交通灯控制模型4,动态扫描
- 利用硬件描述语言实现交通灯控制模型6,黑夜模式,有人按键
- 利用硬件描述语言实现交通灯控制模型7,黑夜模式,无人按键
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型
- 利用 AOP 实现 .NET 上完整的基于角色的访问控制(RBAC)模型
- 利用css里expression来实现界面对象的批量控制
- OpenGL高级特性之利用Image内存模型&计算着色器&原子操作实现(直方图模型)通用计算
- [导入]利用扩大模型以及摄像机空间法线贴图来实现盔甲的发光。
- 利用单片机快速实现家庭智能控制平台
- 利用Ptrace在Android平台实现应用程序控制
- 利用HttpModule实现浏览器版本控制