您的位置:首页 > 其它

【modelsim使用 1】打开vcd文件

2017-09-27 10:31 1141 查看
很多时候,需要把VCS仿真的波形导入到Modelsim中进行观察,这个时候无法直接查看,因为Modelsim只支持.wlf波形文件,所以需要做格式转换。

VCS仿真后的波形可保存为VCD(value change dump:值变转储)文件,是ASCII格式,该文件包含设计中指定变量的取值变化信息。包括文件头信息、节点变化、取值变化。那么如何用Modelsim来打开该文件呢?

用Modelsim打开VCD文件

(1)在Modelsim中的控制台输入:
vcd2wlf file1.vcd file2.wlf


其中,file1是要转换的.vcd文件名,file2是转换后的文件名。转换完成后该文件位于当前Modelsim工程目录下。

(2)在Modelsim中File->Open->file2.wlf->在object标签中选取需要观察的信号添加到波形窗即可,后面同一般的Modelsim工程仿真。

Modelsim产生VCD文件

有时候为了便于格式转换,比如把Modelsim仿真的波形在其他仿真软件上显示(如:VCS)就需要把Modelsim的波形仿真文件保存为VCD格式。具体如下:

(1)将Modelsim工程编译,排除语法错误,然后在菜单栏Simulation标签中点击Start simulation加载设计;

(2)在Modelsim控制台输入命令:
vcd file filename.vcd
创建.vcd文件,其中filename是要生成的文件的文件名;

(3)继续输入命令:
vcd add path/*
,需要注意的是path是你生成的.vcd文件保存的路径,若就是当前工程,则直接是
vcd add /*
即可;

(4)在Modelsim中运行仿真,产生VCD波形文件;

(5)当波形文件产生完成后,需要退出仿真。命令:
quit -sim


通过上述方法可以完成Modelsim对VCD文件的产生和转换。

但是实测如果vcd文件比较大,那么vcd2wlf转换比较慢!!!!!!

减小生成vcd文件的一些方法:

1.合理使用dumpvars,例如dumpvars(0,tb_top)代表将tb_top本层及以下所有层次的信号都导出到vcd文件中,但是可以用dumpvars(1,tbtop.top),这样子只需要把tbtop.top本层的信号导出到vcd文件中;2.实测如果导出层次有xilinxramip的话,vcd文件会增大很多,所以可以避免导出层次中有ramip或者只导到例化ramip的那一层;3.另外可以用dumplimit来限制生成的vcd文件大小(这样子貌似当导出文件超过这个大小时,后面的波形会丢失);

一个例子如下:

initial begin

dumpfile(“rst.vcd”);dumpvars(1, tb_top.top.block1, tb_top.top.block2);

$dumplimit(2000000000);//相当于限制文件大小最大为2Gbyte

end
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: