您的位置:首页 > 其它

MYIR-ZYNQ7000系列-zturn教程(8)-PS给PL时钟点亮LED

2017-09-11 23:24 856 查看
开发板环境:vivado 2017.1 ,开发板型号xc7z020clg400-1,这里用的这个工程是“从新建工程到下载bit”这个工程里的流水灯,

这个工程没有用z-turn 板提供的时钟而且用ZYNQ核提供的时钟给到FPGA点亮LED灯。

Step1  这里是已经新建好的FPGA流水灯如下图所示



Step2 点击Flow Navigator 下的Create Block Desion新建一个Block Desion



点击OK



Step 3 点击工作区的Add IP添加IP核,在搜索栏中输入zynq 双击搜索到的ZYNQ核添加工作区内



已经添加好的ZYNQ  IP核如下图所示



双击ZYNQ  将我提供的工程里的tcl文件添加进来(添加方法这里不再详细说明如不明白请参考前面基础教程)



ZYNQ核配置完成后如下图所示,然后点击Run Block Automation进行自动布线



点击OK



自动布线后如下图所示



再在FCLK_CLK0上右击-->Make Ecternal将FCLK管脚引出来



所有管脚添加完成如下图所示



Step4  右击 design_1->Generate
Ouput Products   生成综合文件



在弹出的对话框中点击Generate



Step5 右击 design_1->Create
HDL Wrapper  生
cf32
成顶层文件



在弹出的对话框中点击OK



顶层文件生成后如下图所示



生成的顶层.v文件



将我们生成的ZYNQ核的顶层文件里的时钟FCLK例化到FPGA中如下图所示



Step6  新建一个XDC文件将我提供的工程里面的xdc文件复制到这个工程里



Step7点击Generate Bitstream产生bit文件



点击save



点击Yes



点击OK



在弹出的对话框中可以看出bit文件生成成功 ,点击Cancel关闭对话框



Step8 点击菜单栏上的 File->Export->Export
Hardware 导出硬件配置文件



在弹出的对话框中勾选Include bitstream ,然后点击OK



Step9 点击菜单栏上的 File->Launch
SDK->OK启动SDK



Step10   在打开的SDK点击菜单栏上的 File->New->Application
Project新建一个fsbl



在弹出的对话框中填写fsbl



在工程模板中选择Zynq FSBL



新建好的fsbl如下图所示



step11  右击 fsbl->Create
boot Image, 生成BOOT.bin启动文件



在弹出的对话框中点击Create Image 生成BOOT.bin文件,将这个生成的BOOT.bin复制到SD卡然后将开发板打到SD卡

启动就可以看到开发板上的LED灯运行。



 链接:http://pan.baidu.com/s/1hsQovli 密码:mply 
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: