您的位置:首页 > 其它

xilinx 浮点数IP核的用法- 定点数转浮点数

2017-05-15 10:11 721 查看
首先调用IP核



标注1:选择定点数转浮点数



标注1:32位定点数

标注2:数字格式,符号位,整数位和小数位



标注1,2:转化为的浮点数可以是单精度也可以是双精度。

标注3:为转化后的数据格式。

仿真如下:



假设输入数据为1和2(十进制),则输出为:



以上:单精度1的浮点数为:00111111100000000000000000000000

            单精度2的浮点数为:01000000000000000000000000000000

验证结果的正确性,用matlab求解:



以上:



以上同理2也正确,但是当计算连续的定点数转浮点数的时候需要注意延迟。

实验得:第一个数和第二个数之间必须保持有十倍周期以上才可以得到正确解。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  Xilinx Verilog