您的位置:首页 > 其它

关于systemverilog package的一些用法

2016-03-09 12:35 323 查看
systemverilog里面的package有点类似C++中的名字空间namespace。

通过import package可以获得package里面声明的类型或变量。

但当package里面也import了别的package的话,是否可以获得内部import package声明的类型或变量呢?

例如:

package A;

  class c_a;

    ...

  endclass

endpackage

package B;

  import A::;

endpackage

package C;

  import B::;

endpackage

在package C中能否见到c_a?

在package C中无法直接用c_a。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: