您的位置:首页 > 其它

一些有名的国际设计自动化会议

2015-09-10 07:36 197 查看
一些有名的国际设计自动化会议

(先后按会议名称词典次序排列)

Asia-South Pacific Design Automation Conference (ASP-DAC) -亚洲及南太平洋地区设计自动化会议
Design Automation Conference (DAC) -设计自动化会议
Design, Automation and Test in Europe Conference(DATE) -欧洲 设计、自动化和测试 会议
European Design & Test Conference (ED&TC) -欧洲设计与测试会议
European Design Automation Conference (Euro-DAC) -欧洲设计自动化会议
International Conference on Computer Aided Design (ICCAD) -计算机辅助设计国际会议
International Symposium on Hardware/Software Codesign (CODES)-硬件/软件协同设计国际研讨会
International Symposium on Hardware/Software Codesign & System Synthesis (CODES+ISSS)-国际研讨会上的硬件/软件协同设计和系统综合
International Symposium on Field Programmable Gate Arrays (FPGA)-现场可编程门阵列(FPGA)国际研讨会
International Symposium on Low Power Electronics and Design (ISLPED) - 低功率电子学与设计国际研讨会
International Symposium on Physical Design (ISPD) - 物理设计国际研讨会
International Symposium on Quality Electronic Design (ISQED) - 电子设计质量国际研讨会
International Symposium on System Synthesis (ISSS) - 系统综合国际研讨会
Great Lakes Symposium on VLSI (GLSVLSI) - 大湖VLSI研讨会

译者注:蓝色的会议简称中文翻译未添加
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: