您的位置:首页 > 其它

[factorytest]工厂测试软件,安装。

2013-12-26 17:34 1531 查看
工厂测试软件 配置方法

一.安装系统ubuntu-12.04.3-desktop-amd64.iso

安装Ubuntu12.04的64位操作系统

镜像在下面百度云盘中有备份
http://pan.baidu.com/s/1i3keLoT
二.安装依赖软件包

sudo apt-get install openssh-server

sudo apt-get install smartmontools ethtool dmidecode lm-sensors hwinfo setserial minicom beep mplayer

sudo apt-get install vim

sudo apt-get build-dep gcc

sudo apt-get install automake autoconf g++ libtool cmake

sudo apt-get install libqt4-dev libqt4-dbg libqt4-gui libqt4-sql qt4-dev-tools qt4-doc qt4-designer qt4-qtconfig

这个步骤可以将原来系统中的缓存包

/var/cache/apt/archives/* 的一些包放置到新安装系统的对应目录, 然后更新

sudo apt-get update

三.设置beep

参见 http://blog.csdn.net/w_ww_w/article/details/12188429
四.设置ntp后时间更新到bios

参见 http://blog.csdn.net/w_ww_w/article/details/17166551
五.将factorytest软件放入目录/root

cp factorytest /root -rf

cp mysh.sh /root

六.配置串口

a.查看串口

进入BIOS->Advance->串口设置,记录串口的地址和中断号,如

1. 3F8h 4

2. 2F8h 3

3. 200h 11

4. 208h 11

5. 210h 11

6. 218h 11

b.查看串口

cat /proc/tty/driver/serial

c. 修改文件 /boot/grub/grub.cfg

(原始)109 linux /boot/vmlinuz-3.5.0-23-generic root=UUID=1e3bf8f2-fd84-4268-885d-3c50e7573d57 ro quiet splash $vt_handoff

(改后)109 linux/boot/vmlinuz-3.8.0-29-generic root=UUID=709f7f8c-1cad-4a6b-821b-d1cf8d9fb100 ro quiet splash $vt_handoff 8250.nr_uarts=6 pnpacpi=off

d. 设置,根据BIOS中的串口信息配置

setserial /dev/ttyS5 port 0x218 irq 11 uart 16550A baud_base 115200

setserial /dev/ttyS4 port 0x210 irq 11 uart 16550A baud_base 115200

setserial /dev/ttyS3 port 0x208 irq 11 uart 16550A baud_base 115200

setserial /dev/ttyS2 port 0x200 irq 11 uart 16550A baud_base 115200

setserial /dev/ttyS1 port 0x2F8 irq 3 uart 16550A baud_base 115200

setserial /dev/ttyS0 port 0x3F8 irq 4 uart 16550A baud_base 115200

七.设置开机启动

开机自动以root登录,并自动启动软件

参见 http://blog.csdn.net/w_ww_w/article/details/16804659
选中mysh.sh

八.配置 主板的配置文件

/root/factorytest/userwizard/configs

如配置7937就改写文件 /root/factorytest/userwizard/configs/7937.ini

并将文件/root/factorytest/userwizard/configs/userconfig.ini内容改为7937.ini
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: