您的位置:首页 > 其它

Verilog中assign的使用

2013-10-25 19:29 134 查看
       assign相当于连线,一般是将一个变量的值不间断地赋值给另一个变量,就像把这两个变量连在一起,所以习惯性的当做连线用,比如把一个模块的输出给另一个模块当输入。

       assign的功能属于组合逻辑的范畴,应用范围可概括为以下三点:

     (1)持续赋值;

     (2)连线;

     (3)对wire型变量赋值,wire是线网,相当于实际的连接线,如果要用assign直接连接,就用wire型变量。wire型变量的值随时变化。其实以上三点是相通的。

       要更好的把握assign的使用,Verilog中有几个要点需要深入理解和掌握:

    (1)在Verilog module中的所有过程块(如initial块和always块)、连续赋值语句(如assign语句)和实例引用都是并行的。在同一module中这三者出现的先后顺序没有关系。

   (2)只有连续赋值语句assign和实例引用语句可以独立于过程块而存在于module的功能定义部分。

   (3)连续赋值assign语句独立于过程块,所以不能在always过程块中使用assign语句。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  verilog assign wire 并行 FPGA