您的位置:首页 > 其它

关于allegro16.6 orCAD capture CIS 创建网表出现错误的问题解决方法

2013-01-25 21:28 1121 查看
把很好用的16.3卸载掉,然后装了16.6,结果不能用了,真是贱贱的感觉


 

错误问题就是:当创建网表的时候,出现下面的错误提示



在网上查了些资料,有这么说的:

1.在WIN7中-控制面板-系统与安全-操作中心-更改用户控制设置-设置为最低(从不通知);

2.以管理员权限运行orCAD capture CIS;

3.按照如下方式解决

1) Choose Start > Run to open the Run window.

2) Type cmd to open the command line window

3) Go to <Install_dir>\tools\capture, where <Install_dir> is the path for the OrCAD Capture  installation directory.

4) Type the following two commands, one at a time in the command line window:

    regsvr32 pxllite.ocx

    regsvr32 truereuse.ocx

本人按照以上1,2方式尝试以后,发现问题依然存在,按照方式3尝试,发现模块加载不成功。

然后又怀疑是不是环境变量的问题,结果也不可以。

是在没办法了,是不是破解不好啊,卸载掉软件,重新安装破解一次试试吧,依然失败,郁闷了。

 

最后发现方法3是正确的,但是文件名错了,如下解决就可以:

1) Choose Start > Run to open the Run window.

2) Type cmd to open the command line window

3) Go to <Install_dir>\tools\capture, where <Install_dir> is the path for the OrCAD Capture  installation directory.

4) Type the following two commands, one at a time in the command line window:

    regsvr32 orpxllite.ocx

    regsvr32 ortruereuse.ocx

 

纠结的一下午,一晚上,睡个安稳觉了。


 
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: 
相关文章推荐