您的位置:首页 > 其它

Blocking VS. Nonblcoking

2011-04-06 22:00 363 查看
Blocking: ‘ = ’
Execution of blcoking assignments is a one-step process: Evaluate the right-hand side argument and update left-hand side argument of the blocking assignment without interruption from any other Verilog statement.
‘Blocking’ trailing assignments in the same always block from occuring until after current assignment has completed

Nonblocking: ’<=’
Execution of nonblocking assignments can be viewed as a two-step process:
1、Evaluate the right-hand side of nonblocking statements at the beginning of the time step
2、Update the left-hand side of nonblocking statements at the end of the time step
Allows assignment scheduling without blocking evaluation and execution of other Verilog statements
Only used in procedural blocks.

Blocking VS. Nonblcoking,
simualtion waves, synthezied results and Verilog code.
always @(posedge Clock)// negedge Reset)
         begin
            Data1_out = Data_in;
            Data2_out = Data1_out;           
         end   




 
cannot synthesize to two flip-flops, two output connect with each other
always @(posedge Clock)// negedge Reset)
begin
Data2_out = Data1_out;
Data1_out = Data_in;
end




always @(posedge Clock)// negedge Reset)
begin
Data1_out <= Data_in;
Data2_out <= Data1_out;
end




always @(posedge Clock)// negedge Reset)
begin
Data2_out <= Data1_out;
Data1_out <= Data_in;
end




内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: