您的位置:首页 > 其它

基于FPGA的USB2.0控制器设计

2007-10-15 17:34 1231 查看
[01/06] 基于FPGA的USB2.0控制器设计
[折叠]











[align=center]Ep_CSR[27:26][/align]
[align=center]端点类型[/align]
[align=center]Ep_CSR[25:24][/align]
[align=center]传输类型 [/align]
[align=center]00[/align]
[align=center]控制端点[/align]
[align=center]00[/align]
[align=center]中断传输[/align]
[align=center]01[/align]
[align=center]IN端点[/align]
[align=center]01[/align]
[align=center]同步传输[/align]
[align=center]10[/align]
[align=center]OUT端点[/align]
[align=center]10[/align]
[align=center]块传输[/align]
[align=center]11[/align]
[align=center]保留[/align]
[align=center]11[/align]
[align=center]保留[/align]


内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: