您的位置:首页 > 其它

第4章 ISE开发环境使用指南[FPGA开发实用教程]——第1节 ISE套件的介绍与安装

2011-10-26 15:32 751 查看
第1节 ISE套件的介绍与安装

4.1.1  ISE简要介绍


Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual
Property)核,长期以来一直推动着FPGA技术的发展。Xilinx的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE 9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:

包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;

全面支持Virtex-5系列器件(业界首款65nm FPGA);
集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;
可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。

Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。

4.1.2  ISE功能简介

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。

设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint
Editor等。
综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。

仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。

实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。
下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。

使用ISE进行FPGA设计的各个过程可能涉及到的设计工具如表4-1所示。

表 4-1 ISE设计工具表



4.1.3 ISE软件的安装

E9.1软件安装的基本硬件要求如下:CPU在P III以上,内存大于256M,硬盘大于4G的硬件环境安装。为了更好地使用软件,至少需要512M内存,CPU的主频在2GHz以上。本书使用的集成开发环境是ISE 9.1,仿真工具是ModelSim 6.2b,综合工具为Synplify Pro 8.8。其中ISE、ModelSim软件和Synplify软件不同版本之间的差异不是很大,所以操作和设计结果的差别也是很小的。具体安装过程如下:

1.光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。



图4-1 ISE安装过程的欢迎界面

2.接着进入注册码获取、输入对话框,如图4-2所示。注册码可以通过网站、邮件和传真方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。



图4-2 ISE9.1安装程序的注册码输入界面

1.光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。



图4-1 ISE安装过程的欢迎界面
2.接着进入注册码获取、输入对话框,如图4-2所示。注册码可以通过网站、邮件和传真方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。



图4-2 ISE9.1安装程序的注册码输入界面
购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。首先在Xilinx的官方主页www.xilinx.com上建立自己的帐号,然后点击图4-1中的“Website”按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。

3.下一个对话框是Xilinx软件的授权声明对话框,选中“I accept the terms of this software license”,单击“Next”后进入安装路径选择界面,如图4-3所示。单击“Browse”按键后选择自定义安装路径,单击“Next”按键继续



图4-3 ISE软件安装路径选择对话框

4.接下来的几个对话框分别是选择安装组件选择,如图4-4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。在计算机硬盘资源不紧张的情况下,通常选择“Select All”。



图4-4 ISE安装组件选择界面

5.随后进入设置环境变量页面,保持默认即可。如果环境变量设置错误,则安装后不能正常启动ISE。选择默认选项,安装完成后,在“我的电脑”上单击右键,选择属性 环境变量中,可看到名为“Xilinx”的环境变量,其值为安装路径。最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图4-5所示。



图4-5 ISE安装进程示意图
6.安装完成后,会在桌面以及程序菜单中添加Project Navigator的快捷方式。双击即可进入ISE集成开发环境。

4.1.4 ISE软件的基本操作

1.ISE用户界面

ISE9.1i的界面如图4-6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。



图4-6 ISE的主界面

标题栏:主要显示当前工程的名称和当前打开的文件名称。
菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。其使用方法和常用的Windows软件类似。

工具栏:主要包含了常用命令的快捷按钮。灵活运用工具栏可以极大地方便用户在ISE中的操作。在工程管理中,此工具栏的运用极为频繁。
工程管理区:提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(Source View),快照视图(Snapshot View)和库视图(Library View)。其中源文件视图比较常用,显示了源文件的层次关系。快照是当前工程的备份,设计人员可以随时备份,也可以将当前工程随时恢复到某个备份状态。快照视图用于查看当前工程的快照。执行快照功能的方法是选择菜单项Project | Take Snapshot。库视图则显示了工程中用户产生的库的内容。

源文件编辑区:源文件编辑区提供了源代码的编辑功能。
过程管理区:本窗口显示的内容取决于工程管理区中所选定的文件。相关操作和FPGA设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。

信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等。信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(Find in Files)。如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。

状态栏:显示相关命令和操作的信息。

2.ISE菜单的基本操作

ISE所有的操作都可通过菜单完成,下面简要介绍ISE的菜单命令以及功能。

(1)File菜单

File菜单的命令包括:New Project、Open Project、Open Examples、Close Project、Save Project As、New、Open、Save、Save As、Save All、Print Preview、Print、Recent Files、Recent Projects以及Exit等。

New Project命令:用于新建工程,是开始设计的第一步。ISE会为新建的工程创建一个和工程同名的文件夹,专门用于存放工程的所有文件。

Open Project命令:用于打开已有的ISE工程。高版本的ISE可以打开低版本的工程,但需要版本转换,该转换是单向的、不可逆的,因此需要做好版本备份。低版本的ISE不能打开高版本的ISE工程。

Open Examples命令:用于打开ISE提供的各种类型的示例。

Close Project命令:关闭当前工程。如果关闭前未保存文件,ISE会提示用户保存后再退出。

Save Project As命令:可将整个工程另存为其他名字的工程,在大型开发中,常使用该命令来完成版本备份。

New命令:用于新建源文件,可生成原理图、符号以及文本文件。文本文件另存为时可修改其后缀名,以生成.v或.vhd的源文件。

Open命令:用于打开所有Xilinx所支持的文件格式,便于用户查看各类文件资源。

Save、Save As以及Save All命令:分别用于保存当前源文件、另存为当前源文件以及保存所有源文件。用户要在开发当中养成及时保存文件的习惯,避免代码丢失。

Print Preview命令:用于打印预览当前文件,Print用于打印当前文件。

Recent Files命令:用于查看最近打开的文件。

Recent Projects命令:用于查看最近打开的工程。

Exit命令:用于退出ISE软件。

(2)Edit菜单

Edit菜单的命令包括:Undo、Redo、Cut、Copy、Paste、Delete、Find、Find Next、Find in Files、Language Templates、Select All、Unselect All、Message Filters、Object Properties以及Preference等,大多数命令用于源代码开发中。

Undo命令:用于撤销当前操作,返回到前一状态。

Redo命令:是Undo命令的逆操作,用于恢复被撤销的操作。

Cut命令:剪贴选中的代码, 快捷键为“CRTL+X”。

Copy命令:复制选中的代码, 快捷键为“CRTL+C”。

Paste命令:粘贴剪贴和复制的代码, 快捷键为“CRTL+V”。

Delete命令:删除选中的代码。

Find命令:查找选中的文字,或寻找在其输入框中输入的内容,快捷键为“CRTL+F”。

Find Next命令:寻找下一个要查找的内容,并跳至相应的位置,快捷键为“F3 ”。

Language Templates命令:可打开语言模版,里面有丰富的学习资料,是非常完整的HDL语言帮助手册,其地位类似于VisualC++的MSDN。

Select All命令:选中所有的代码,其快捷键为“CRTL+A”。

Unselect All命令:撤销已选中的全部代码,是Select All的逆操作。

Message Filter命令:过滤消息,只显示用户期望的消息。

Preference命令:用于设定ISE的启动参数以及运行参数,有着众多的设置项,最常用的就是第三方EDA软件的关联设置,将在第4.5节详细介绍。

(3)View菜单

View菜单主要管理ISE软件的视图,不涉及FPGA开发中的任何环节,其中常用的命令有Layout Horizontally、Layout Vertically以及Restore Default Layout。

Layout Horizontally命令:将水平地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。

Layout Vertically命令:将垂直地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。

Restore Default Layout命令:将恢复ISE默认的主界面布局。

(4)Project菜单

Project菜单包含了对工程的各个操作,是设计中最常用的菜单之一,包括New Source、Add Source、Add Copy of source、Cleanup Project Files、Toggle Paths、Archive、Take Snapshot、Make Snapshot Current、Apply Project Properties以及Source Control命令。

New Source命令:用于向工程中添加源代码,可以添加HDL源文件、IP Core以及管脚和时序约束文件。

Add Source命令:将已有的各类源代码文件加入到工程中,Verilog模块的后缀为.v,VHDL模块的后缀为.vhd,IP core源文件为.xco文件或.xaw文件,约束文件的后缀为.ucf。

Add Copy of source命令,将目标文件拷贝一份添加到工程中。

Cleanup Project Files命令:用于清空综合和实现过程所产生的文件和目录。如果在EDIF设计模式中,只清空实现过程所产生的文件。

Toggle Paths命令:用于显示或隐藏非工程文件夹中的远端源文件的路径;

Archive命令:用于压缩当前工程,包括所有的文件,默认压缩类型为.zip格式。

Take Snapshot命令:用于产生一个工程快照,即当前目录和远程资源的一个只读记录,常用于版本控制。

Make Snapshot Current命令:用户恢复快照覆盖当前工程。由于该命令会将当前工程删除,所以使用前一定要做好数据备份工作。

Apply Project Properties命令:应用工程属性,会提示用于选择相应工程。

Source Control常用于代码的导入和导出,有Export和Import两个子命令。

(4)Source菜单

Source菜单主要面向工程管理区,包含了对资源文件的各个操作,每个命令的操作也都可以在工程管理区单击右键弹出的对话框中点击实现,包括:Open、Set as Top Module、Use SmartGuide、New Partition、Delete Partition、Partition properties、Partition Force、Remove、Move to library以及Properties等命令。

Open命令:可打开所有类型的源文件,包括.v、.vhd、.xco、.xaw以及.ucf等格式。

Set as Top Module命令:用于将选中的文件设置成顶层模块。只有设置成顶层模块,才能对其综合、实现以及生成相应的二进制比特流文件。

Use SmartGuide命令:允许用户在本次实现时利用上一次实现的结果,包括时序约束以及布局布线结果,可节省实现的时间,但前提是工程改动不大。

New Partition命令:新建分区,常用于区域约束。

Delete Partition命令:删除区域约束的分区

Partition properties命令:可设置分区属性,详细说明刻参考4.4.4节内容。

Partition Force命令:包含“Force Synthesis Out-of-data”和“Force Implement Design Out-of-data”两个指令,分别用于分区综合和增量设计。

Remove命令:把选中的文件从工程中删除,但仍保留在计算机硬盘上。

Move to library命令:将选中的源文件移动到相应的库中,以便建立用户文件库。

Properties命令:查看源文件属性,有Synthesis/Implementation Only、Simulation Only以及Synthesis/Imp+ Simulation三种类型,其中Simulation Only类文件只能仿真,不能被综合。

(5)Process菜单

Process菜单包含了工程管理区的所有操作,每个命令的操作也都可以在过程管理区点击相应的图标实现,包括:Inmolement Top Module、Run、Rerun、Rerun All、Stop、Open Without Updating 以及Properties等命令。

Inmolement Top Module命令:完成顶层模块的实现过程。

Run命令:在工程过程栏,选中不同的操作,点击改命令,可分别启动综合、转换、映射、布局布线等过程。

Rerun命令:重新运行Run指令执行的内容。

Rerun All命令:重新运行所有Run指令执行的内容。

Stop命令:停止当前操作,可中止当前操作,包括综合和实现的任一步骤。

Open Without Updating命令:改指令用于打开相应上一次完成的综合或实现过程所产生的文件。

Properties命令:在工程过程栏,选中不同的操作,点击该命令,可设置不同阶段的详细参数。

(6)Windows菜单

Windows菜单的主要功能是排列所有窗口,使其易看易管理。通过本菜单可以看到当前打开的所有窗口,并能直接切换到某个打开的窗口。由于各命令操作简单,不再介绍。

(7)Help菜单

Help菜单主要提供ISE所有帮助以及软件管理操作,包括:Help Topics、Software Manuals、Xilinx on the Web、Tutorials、Update Software Product Configuration、Tip of the Day、WebUpdata以及About命令。

Help Topics命令:点击后,将自动调用IE浏览器打开ISE的帮助文档。

Software Manuals命令:点击后,将自动打开PDF文件,通过超链接到用户感兴趣的软件使用文档,其内容比网页形式的帮助文档要丰富。 Xilinx on the Web命令:包括完整的Xilinx网络资源,可根据需要点击查看链接。

Tutorials 命令:包括本地快速入门ISE的说明文档和Xilinx网站的入门教学内容,可点击查看。

Update Software Product Configuration 命令:用于更新ISE软件的注册ID,如果试用版用户在试用期间购买了正版软件,不用卸载再重新安装,只需要通过该命令更换ID即可。

Tip of the Day命令:每天提示,可设置或关闭在每次启动ISE时,弹出对话框,列出ISE的最新功能和一个应用技巧。

WebUpdata命令:点击该命令,可自动连接到Xilinx的官方网站,下载最近的软件包并提示用户安装。

About命令:点击该命令将弹出ISE的版本,包括主版本和升级号以及注册ID。

转自:http://bbs.eccn.com/viewthread.php?tid=93673&extra=&page=1

内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: